CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog i2c

搜索资源列表

  1. i2c_master_bit_ctrl

    0下载:
  2. I2C控制总线主机,按照字节写设计的verilog代码,由于选项中没有verilog这项,因此选择VHDL-I2C control bus master, according to the byte write verilog code design, because the option is not verilog this, so choose VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-13
    • 文件大小:1684
    • 提供者:Luke
  1. i2c_master_byte_ctrl

    0下载:
  2. I2C控制总线按照word写,用verilog实现的主机写功能-I2C control bus according to the word write and write functions implemented by host verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1359
    • 提供者:Luke
  1. FPGA_CODE

    0下载:
  2. MT9P031 FPGA代码,包含图像采集,I2C配置等-MT9P031 verilog code, image capture, I2C regestrer
  3. 所属分类:Special Effects

    • 发布日期:2016-07-15
    • 文件大小:4488192
    • 提供者:曹一
  1. i2c_src

    0下载:
  2. 自己写的i2c master程序,verilog实现,综合可用,配置好参数自动完成I2C数据传输。 -i2c master program by myself, verilog achieve,synthesis available, configured parameters automatically I2C data transfers.
  3. 所属分类:Com Port

    • 发布日期:2017-04-14
    • 文件大小:2753
    • 提供者:derek
  1. my_i2c

    0下载:
  2. 基于FPGA的i2c通信,使用Verilog hdl实现,带有功能说明文档、ise工程、modelsim仿真工程-i2c communication based FPGA using Verilog hdl implementation, with the function documentation, ise project, modelsim simulation project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7451578
    • 提供者:刘省伟
  1. I2C_v

    0下载:
  2. 本源码为用verilog hdl 实现的i2c通信协议,亲测可用,希望能帮到大家-The source code for i2c communication protocol implemented by verilog hdl, pro-test available, we hope to help
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1853365
    • 提供者:王辉
  1. I2C_Controller

    0下载:
  2. 这是个人设计的I2C总线的控制器。已封装好I2C总线的4种基本操作(写单字节,写多字节,读单字节和读读多字节)。在这个资源当中,包含自己写的设计文档和使用方式,以及Verilog源代码。此过程经过Xilinx开发板下载验证且没有问题。-This is the controller of the personal project I2C bus. I2C bus has a good package of four basic operations (to write a single byte,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5177625
    • 提供者:ljt
  1. apbi2c_latest.tar

    1下载:
  2. APB总线协议转I2C总线协议的接口IP,verilog代码实现,包含详细testbench-APB bus interface to I2C bus interface IP,verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:444852
    • 提供者:lv
  1. iic_com

    0下载:
  2. Verilog写的I2C通信程序,亲自测过可用-I2c source code based on Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2744
    • 提供者:zhaodong
  1. i2c_slave_fpga_verilog_code

    0下载:
  2. I2C的salve完整代码以及实现例子,使用verilog编写-The full implementation of I2C protocol by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:34356
    • 提供者:wutao
  1. i2c_master

    0下载:
  2. 16-bit addr, 8 bit data 的 I2C master verilog 模块-16-bit addr, 8 bit data I2C master verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3041
    • 提供者:
  1. EEPROM

    0下载:
  2. EEPROM verilog仿真模块,用于测试I2C接口-EEPROM verilog simulation module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:3732
    • 提供者:
  1. i2c_testbench

    0下载:
  2. i2c verilog rtl with testbench very good code and works perfectly with cadence ius and ncverilog
  3. 所属分类:Editor

    • 发布日期:2017-05-05
    • 文件大小:11398
    • 提供者:akash man
  1. I2C_highway

    0下载:
  2. 利用硬件描述语言verilog 按I2C总线协议编写了代码,是一个完整的工程,芯片设计中可以参考-Using the Hardware Descr iption Language Verilog according to the I2C bus protocol to write the code, is a complete project, the chip design can refer to
  3. 所属分类:Com Port

    • 发布日期:2017-12-13
    • 文件大小:114586
    • 提供者:杨宏伟
  1. apb_i2c

    0下载:
  2. Simple realization of I2C interface on System Verilog HDL with support of interrupt generation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:5959
    • 提供者:scnn86
  1. i2c_latest.tar

    0下载:
  2. I2C_Master_verilog_code
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:1478656
    • 提供者:Hadeer2
  1. apbi2c-master

    7下载:
  2. apb转i2c verilog 实现(APB bus interface to I2C bus interface)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-01-15
    • 文件大小:445440
    • 提供者:AyanamiC
« 1 2 ... 14 15 16 17 18 19»
搜珍网 www.dssz.com